sponsored links

從晶圓廠招標資料看半導體裝置國產化進展

文章首發-公眾號:鑽石研報。來源:中信證券。

本文由中信證券研究部電子組聯合資料科技組,以長江儲存、華力整合、華虹無錫三家國內規模較大的新建晶圓廠為例,從中國國際招標網統計了2016年至2021期間公開發布的招標公告和相關的評標公示、中標結果公告,並進行整理和分析,力求展現出當前晶圓廠裝置國產化現狀及趨勢。招標中標公告並不代表企業全部裝置情況,我們在操作過程中已儘可能詳盡地統計公開招投標公告資料,本文結論在此資料基礎上完成,存在一定侷限性,僅從側面反映裝置採購的大致狀況。

【核心觀點】

據我們測算,三座典型晶圓廠裝置國產化率總體在15%左右,隨供應鏈本土化趨勢,未來有望實現國產化率階躍式提升。展望2022年,在行業景氣持續、國產替代深入背景下,半導體裝置公司持續有業績支撐。建議優先選擇賽道空間大、產品佈局全面、技術實力較強的龍頭裝置廠商,以及份額尚低、受益國產替代有望快速成長的細分賽道成長型企業。

一、中國大陸產線進展:行業增速39%

全球700億美元半導體裝置市場,國內半導體裝置市場增速兩倍於全球,佔比26%(2020年)。在國內晶圓廠擴產驅動下,半導體裝置需求持續拉昇,據SEMI資料,2020年全球半導體裝置市場712億美元,同比增速19%,中國大陸市場187億美元,同比增速39%,國內市場增速顯著高於全球市場;中國大陸佔全球比重26.3%,首次成為半導體裝置的最大市場。SEMI預測2021年全球半導體裝置市場規模為953億美元,同比增長34%,2022年有望達1013億美元。

預計2022年中芯國際、合肥長鑫、華虹集團、長江儲存均為擴產主力。按照計劃來看,國內近些年較快擴產的主要本土廠商包括長江儲存、中芯國際、合肥長鑫、華虹集團(包括華虹無錫、華虹宏力、華力微、華力整合等);各廠主要擴產計劃梳理如下:

1)長江儲存:3D NAND Flash儲存器國內龍頭IDM,三期總產能規劃30萬片/月。長江儲存一期專案於2018年投產,2019年產能達到2萬片/月,2020年擴產至約5萬片/月,我們預計一期結束2021~2022有望達到10萬片/月產能,二期土建已於2020年6月開工,加上未來三期專案合計產能規劃共30萬片/月。

2)中芯國際:中國大陸晶圓代工龍頭,深圳、北京京城、上海臨港專案依次擴產,已披露產能規劃未來有望新增約40萬片/月。我們預計2022年深圳12英寸從零起步產能爬坡(滿產將達4萬片/月,現潔淨室已就緒)、上海臨港廠房有望動工,2022年下半年北京京城專案建成進入潔淨室安裝,2023年京城廠有望產能爬坡(一期滿產將達10萬片/月,總計兩期20萬片/月)、臨港廠房建成進入潔淨室安裝,2024年臨港爬產(滿產10萬片/月)。此外8寸方面,天津、深圳、上海廠均有繼續擴產空間;在12英寸先進製程(14nm)方面,若後續裝置獲取順利,上海中芯南方廠區還有繼續擴產可能,現有一期潔淨室支援3.5萬片/月(現產能1.5萬片/月),預留空地支援二期新增3.5萬片/月。

3)華虹無錫:12英寸成熟製程頭部廠商,2022年持續擴產,新專案有望上馬,有望擴張10萬片以上月產能。我們預計華虹無錫一期(Fab 7)產能從2021年4萬片/月擴張至2022年約9萬片/月(12英寸),2022~2023年有望開始新建二期(Fab 9),額外新增8~9萬片/月產能。

4)華力整合:12英寸先進製程頭部廠商,後續有望新建Fab 8。我們預計產能逐步擴張至滿產4萬片/月。根據華虹集團2021全球供應商年會新聞稿,華力有望於2021年內啟動建設Fab 8,新增約4萬片/月先進製程產能。

5)長鑫儲存:DRAM儲存器國內龍頭IDM,三期總產能規劃37.5萬片/月。我們預計產能將從2021年初4萬片/月擴張至2022~2023年12.5萬片/月,同時2022~2023年有望啟動二期建設(12.5萬片/月)。

二、裝置型別:薄膜沉積、刻蝕、過程控制等裝置招標數量較多,均為百億美金級別市場

從晶圓廠內各工藝環節來看,薄膜沉積、光刻、刻蝕裝置是產線中總價值量最高的三類半導體裝置,均佔全球半導體裝置市場的20%以上。晶圓廠內半導體裝置按照型別可大致分為薄膜沉積、光刻、刻蝕、過程控制、自動化製造和控制、清洗、塗布顯影、去膠、化學機械研磨(CMP)、快速熱處理/氧化擴散、離子注入、其他晶圓級裝置等類別,其中薄膜沉積、光刻、刻蝕、過程控制佔比最大。

我們將長江儲存、華力整合、華虹無錫近五年招投標樣本資料進行整理,由於招投標裝置口徑為機臺數量佔比,與SEMI的銷售額資料相比略有出入,但總體接近。為便於統計比較,我們招投標資料中暫未將廠務系統、輔助裝置、封裝測試裝置、自動化搬送系統列入統計範圍。

長江儲存:薄膜沉積、刻蝕、過程控制、氧化擴散/熱處理裝置招標數量佔比較大。從機臺招標數量來看,長江儲存在2017~2020年間招標採購薄膜沉積裝置數量較多,佔比約32%;刻蝕、過程控制、氧化擴散/熱處理裝置數量佔比分別為18%、15%、11%。光刻裝置金額佔比較高,但由於單機價格較高,從數量而言招標採購數量佔比並不高。

華力整合:過程控制、薄膜沉積、清洗招標數量佔比較高。從機臺招標數量來看,華力整合在2016~2021年間招標採購過程控制裝置數量較多,佔比約27%;薄膜沉積、清洗、刻蝕裝置數量佔比分別為18%、15%、12%。

華虹無錫:過程控制、氧化擴散/熱處理、刻蝕、薄膜沉積招標數量佔比較高。從機臺招標數量來看,華虹無錫在2018~2021年間招標採購過程控制裝置數量較多,佔比約23%;氧化擴散/熱處理、刻蝕、薄膜沉積裝置數量佔比分別為19%、13%、12%。

三、國產化趨勢:美日裝置佔比最高,國產佔比呈現顯著上升趨勢

從行業格局來看,美日歐廠商在半導體裝置領域具備傳統優勢,佔據半導體裝置全球前15名席位。國際主流廠商中,應用材料、泛林、東京電子在薄膜沉積、刻蝕領域具備領先地位,科天在過程控制(檢測、量測)裝置處於領導地位,均穩居全球前五位置。據我們估算,2020年中國大陸廠商營收在全球市場佔比約2%左右。

長江儲存:各中標供應商按照總部地區劃分,美日佔比最高,中國大陸佔比持續提升。從近五年長江儲存招投標專案累計數量來看,美國、日本廠商中標專案數量佔比分別達43%、30%,反映出兩地區廠商仍佔據主流地位。五年累計招標中,中國大陸廠商中標專案數量佔比15%,分年度看,2020年長江儲存541項裝置招標中,中國大陸廠商中標專案數量佔比17%,而2021年(截至10月18日)長江儲存352項裝置招標中,中國大陸廠商中標專案數量佔比達到20%,過去幾年呈現逐漸上升趨勢,相應美國廠商中標專案數量佔比呈現下降趨勢。

華力整合:美日佔比最高,中國大陸2020年佔比達28%。從近六年華力整合招投標專案累計數量來看,美國、日本廠商中標專案數量佔比分別達48%、28%,反映出兩地區廠商仍佔據主流地位。六年累計招標中,中國大陸廠商裝置中標專案數量佔比13%,分年度看,2019年華力整合159項裝置招標中,中國大陸廠商中標專案數量佔比20%,2020年華力整合164項裝置招標中,中國大陸廠商中標專案數量佔比28%,2021年(截至10月18日)華力整合30項裝置招標中,中國大陸廠商中標專案數量佔比23%。

華虹無錫:美日佔比最高,中國大陸近兩年佔比達23%。從近四年華虹無錫招投標專案累計數量來看,美國、日本廠商中標專案數量佔比分別達38%、27%,反映出兩地區廠商仍佔據主流地位,中國大陸廠商裝置中標專案數量佔比21%,分年度看,2019年華虹無錫174項裝置招標中,中國大陸廠商中標專案數量佔比18%,2020年華力整合257項裝置招標中,中國大陸廠商中標專案數量佔比23%,2021年(截至10月18日)華力整合73項裝置招標中,中國大陸廠商中標專案數量佔比23%,近兩年國產廠商佔比有明顯提升。

四、裝置廠商現狀:優秀國產廠商湧現,國產替代有望加快

國內在半導體裝置各細分領域湧現出一批優秀公司。由於半導體裝置種類繁多,製造原理各異,在各細分領域中已形成具備一定規模和國內替代技術實力的國產細分龍頭廠商,但與海外廠商相比技術實力與收入體量相差仍大。國內廠商中,北方華創、中微公司、盛美上海等廠商已橫向實現平臺化佈局,值得重點關注。北方華創為國內規模最大、產品覆蓋最廣的半導體裝置公司,在氧化擴散/熱處理、PVD裝置具備較強的產品競爭力,矽刻蝕和金屬刻蝕、清洗機亦匯入長江儲存。中微公司為國內半導體裝置技術領先龍頭,在積體電路製造使用的刻蝕裝置以及LED外延片生長使用的MOCVD裝置領域技術領先,在長江儲存介質刻蝕份額已達到30%左右水平,已橫向拓展化學氣相沉積和量測裝置等市場。盛美上海在清洗裝置方面透過自研技術解決了兆聲波清洗的缺點,與國際龍頭差異化競爭,爭奪高階市場,同時橫向拓展電鍍、立式爐,以及先進封裝所用的刻蝕、塗膠顯影、拋光、去膠等裝置。

長江儲存:中標供應商中,北方華創、屹唐股份、中微公司、盛美上海位列國產供應商前列。美國廠商(泛林、應用材料、科天、Onto、泰瑞達等)、日本廠商(東京電子、國際電氣、迪恩士、愛德萬等)仍是採購主流。國內廠商方面,公開招標資料顯示,2017~2021年間北方華創在長江儲存共中標46次、131臺裝置,屹唐股份同期在長江儲存共中標46次、100臺裝置,中微公司同期在長江儲存共中標37、59臺裝置,盛美上海同期在長江儲存共中標29次、35臺裝置。

華力整合:中標供應商中,盛美上海、北方華創等位列國產供應商前列。國內廠商方面,公開招標資料顯示,2016~2021年間盛美上海在華力整合共中標17次、21臺裝置,北方華創同期在華力整合共中標11次、22臺裝置,屹唐股份同期在華力整合共中標10次、12臺裝置,上海天雋機電裝置有限公司同期在華力整合共中標9次、42臺裝置(均為研磨液供應裝置),杭州廣立微電子裝置有限公司同期在華力整合共中標8次、14臺裝置(均為EDA軟體或晶圓電性測試儀),中微公司同期在華力整合共中標7次、15臺裝置。

華虹無錫:中標供應商中,盛美上海、北方華創、中微公司等位列國產供應商前列。國內廠商方面,公開招標資料顯示,2018~2021年間盛美上海在華虹無錫共中標22次、23臺裝置,北方華創同期在華虹無錫共中標16次、21臺裝置,中微公司同期在華虹無錫共中標10次、11臺裝置,華海清科同期在華虹無錫共中標9次、10臺裝置,屹唐股份同期在華虹無錫共中標8次、16臺裝置,拓荊科技同期在華虹無錫共中標6次、6臺裝置。

五、分裝置型別,繼續分析各類細分裝置中國際和國內廠商中標情況。

1、刻蝕:國產化率22%,中微公司、北方華創、屹唐股份三強崛起。

長江儲存:國產刻蝕裝置主要採購自中微公司、北方華創、屹唐股份。在長江儲存2017~2021年刻蝕裝置招標中,中微公司裝置中標數量位列第三,累計58臺,僅次於泛林、東京電子,高於應用材料,體現出中微公司在刻蝕裝置領域達到國際水平的技術競爭力。北方華創、屹唐股份僅次於應用材料,分別錄得24臺、18臺。

從刻蝕細分型別來看,中微公司主要中標裝置包括通孔刻蝕、接觸孔刻蝕、介質(氧化矽等)刻蝕、溝槽刻蝕等,其中2020年首次中標溝槽刻蝕;北方華創主要中標裝置包括矽槽刻蝕、鋁刻蝕等;屹唐股份主要中標裝置為介質(氮化矽、氮氧化矽等)刻蝕、鈍化層刻蝕等。

華力整合:中微公司中標數量位列第二,僅次於泛林,高於東京電子、應用材料。過去五年華力整合招標期間,中微公司共中標15臺,北方華創中標1臺。其中中微公司中標裝置包括光阻刻蝕、銅互連溝槽刻蝕、鈍化膜刻蝕、通孔刻蝕、多晶矽刻蝕等,北方華創中標裝置為多晶矽STI刻蝕。

華虹無錫:中微公司位列第二,僅次於泛林,高於迪恩士、東京電子。中微公司共中標11臺,北方華創中標6臺,其中中微公司中標裝置包括鈍化膜刻蝕、氧化膜刻蝕、介質側牆刻蝕等,北方華創中標裝置包括多晶矽刻蝕、淺溝槽刻蝕等。

總結:刻蝕裝置方面,中微公司、北方華創、屹唐股份分列國內前三,其中中微公司工藝覆蓋範圍相對較廣,其主力出貨型別為CCP(電容耦合等離子刻蝕),面向介質刻蝕較多,近期ICP(電感耦合等離子刻蝕)逐步發力,未來工藝範圍有望進一步拓寬;北方華創主要工藝覆蓋為多晶矽、淺溝槽、鋁刻蝕等型別,主要面向金屬、矽等導體刻蝕為主;屹唐股份在長江儲存獲得大量採購,主要面向介質刻蝕。從三座晶圓廠累計招標情況統計,國產裝置中標總數133臺,晶圓廠招標裝置總數605臺,由此計算國產化率約22.0%(按照臺數佔比,下同)。與國外廠商相比,國產刻蝕裝置在刻蝕精度、工藝覆蓋率等方面還存在進一步提升空間。

2、薄膜沉積:國產化率4.6%,拓荊科技、北方華創、盛美上海為國產前三強。

長江儲存:薄膜沉積裝置主要採購日美裝置,包括東京電子、國際電氣、泛林、應用材料等。國產廠商中,拓荊科技、北方華創分別中標14臺、11臺,其中拓荊科技中標裝置主要為PECVD(等離子增強化學氣相沉積),北方華創中標裝置主要為PVD(物理氣相沉積)。

華力整合:應用材料中標最多,國產包括拓荊科技、北方華創、盛美上海。其中拓荊科技中標裝置為PECVD,北方華創中標裝置為濺射裝置,盛美上海中標裝置為銅電鍍裝置。

華虹無錫:主要採購應用材料、泛林,國產廠商包括北方華創、拓荊科技、江蘇芯夢。其中,北方華創中標裝置為PVD,拓荊科技中標裝置為PECVD,江蘇芯夢中標裝置為化學鍍裝置。

總結:薄膜沉積裝置方面,拓荊科技、北方華創、盛美上海分列國內前三,但三家廠商裝置型別有明顯差異,其中拓荊科技主要為PECVD(等離子增強化學氣相沉積),北方華創主要為PVD(物理氣相沉積),盛美上海涉及電鍍裝置,三家廠商均是對應細分裝置(PECVD、PVD、電鍍)領域的國內龍頭,產業地位突出。從三座晶圓廠累計招標情況統計,國產裝置中標總數44臺,晶圓廠招標裝置總數967臺,由此計算國產化率約4.6%。與海外廠商相比,國產廠商在薄膜沉積領域工藝覆蓋型別方面尚不完善,仍有較大發展空間。

3、過程控制:國產化率2.4%,中科飛測、精測半導體、睿勵科學儀器國內領先。

長江儲存:過程控制裝置主要採購美、日裝置,包括Onto(由Nanometrics和Rudolph Technologies合併)、科天、日立高新、應用材料、賽默飛等。國產廠商中,中科飛測、精測半導體、睿勵科學儀器分別中標7臺、6臺、2臺,其中中科飛測中標裝置主要為光學表面三維形貌量測裝置,精測半導體中標裝置主要為膜厚光學關鍵尺寸量測儀,睿勵科學儀器中標裝置為介質薄膜測量系統。

華力整合:Nova Measuring、科天中標最多,國產僅睿勵科學儀器中標。其中Nova Measuring為以色列量測裝置公司,共計中標45臺,中標產品包括化學機械研磨厚度線上測量裝置、光學線寬測量儀裝置、矽片厚度測量儀、X射線光電子能譜分析量測裝置等。睿勵科學儀器於2019年11月中標的1臺裝置為後段膜厚測量儀裝置(BEOL)。

華虹無錫:主要採購科天、日立高新,國產廠商包括吉姆西半導體科技、無錫卓海。其中,吉姆西半導體科技6臺中標裝置為膜厚測量儀,無錫卓海1臺中標裝置為套刻精度檢測機。從兩家公司官網我們瞭解到,吉姆西半導體科技主要業務為半導體再製造裝置和研磨液供應系統,再製造裝置品牌涵蓋應用材料、泛林、日新、東京電子、Nanometrics、Mattson等;無錫卓海科技專注半導體前道檢測與量測裝置領域的研發、製造、修理、技術服務,再製造裝置品牌涵蓋科天、日立高新、Ruldoph、Quantox、尼康等。

總結:過程控制裝置方面,中科飛測、精測半導體、睿勵科學儀器屬於國內佈局領先企業,其中中科飛測主要產品為光學表面三維形貌量測裝置等光學檢測裝置,精測半導體、睿勵科學儀器主要產品均為膜厚量測裝置。從三座晶圓廠累計招標情況統計,國產裝置中標總數16臺,晶圓廠招標裝置總數680臺,由此計算國產化率約2.4%,國產廠商裝置僅覆蓋膜厚量測、光學形貌量測等型別,品類尚不齊全,存在較大市場空間尚待開拓。

4、氧化擴散/熱處理裝置:國產化率29%,北方華創優勢較為明顯。

長江儲存:北方華創中標僅次於東京電子,屹唐股份、成都萊普科技亦獲得採購。其中北方華創共計中標94臺,中標產品涵蓋氧化、退火、合金等裝置。屹唐股份中標6臺,主要為退火裝置;成都萊普科技於2021年9月中標2臺,為退火裝置。

華力整合:東京電子、應用材料等企業領先,北方華創、屹唐股份、盛美上海亦獲得採購。其中北方華創共計中標4臺,中標產品涵蓋退火、合金、氧化爐裝置;屹唐股份(Mattson)中標2臺,為快速熱退火/快速熱氧化裝置;盛美上海中標1臺,為低壓高溫氧化爐裝置。

華虹無錫:東京電子獲採購最多,國產廠商包括北方華創、屹唐股份、上海微電子等。其中,北方華創中標10臺裝置,包括合金退火爐、真空烘烤爐等;屹唐股份中標3臺,為快速熱退火裝置;上海微電子中標2臺,為背面鐳射退火裝置。

總結:氧化擴散/熱處理裝置方面,北方華創中標裝置數量靠前,尤其是在長江儲存中獲採購數量較大。北方華創相關裝置主要以各類氧化爐、退火爐、合金爐等為主;除北方華創外,屹唐股份、盛美上海等公司亦有相關爐管產品;上海微電子面向IGBT等應用開發了鐳射退火裝置,與爐管裝置有所區別。從三座晶圓廠累計招標情況統計,國產裝置中標總數124臺,晶圓廠招標裝置總數430臺,由此計算國產化率約28.8%。

5、清洗:國產化率31%,盛美上海中標裝置數量國產最多,僅次於日本迪恩士。

長江儲存:盛美上海中標裝置數僅次於日本廠商迪恩士,國產中標廠商還包括芯矽科技、北方華創、屹唐股份。其中盛美上海共中標35臺,中標產品主要包括各型別單片式清洗機。芯矽科技共計中標5臺,中標產品為零部件清洗機。北方華創共中標2臺製程擋控片蝕刻回收清洗機,屹唐股份亦於2021年中標2臺清洗裝置。

華力整合:盛美上海中標僅次於日本迪恩士,北方華創、芯源微亦獲得採購。其中盛美上海共計中標19臺,中標產品涵蓋前段、後段工藝的清洗裝置。北方華創中標13臺,均為部件清洗裝置;芯源微中標3臺,為刷片清洗裝置。

華虹無錫:迪恩士、盛美上海分列前兩位,國產廠商還包括上海稷以科技有限公司。其中,盛美上海中標19臺裝置涵蓋前後段製程,涉及銅線聚合體剝離、鋁線及通孔清洗、多晶矽氧化膜矽片再生、擴散爐前清洗等環節,產品應用較為多樣。上海稷以科技有限公司於2021年9月首次中標華虹無錫清洗裝置1臺,具體產品為300mm薄片等離子背面清洗機。

總結:清洗裝置方面,盛美上海表現較為突出,在選取的三家晶圓廠中裝置中標數量均位列第二,僅次於日本迪恩士。盛美上海清洗裝置工藝覆蓋面較廣,基本涵蓋前、中、後段工藝,除盛美上海以外,國內北方華創、芯源微、屹唐股份、至純科技等企業均有所佈局。從三座晶圓廠累計招標情況統計,國產裝置中標總數99臺,晶圓廠招標裝置總數318臺,由此計算國產化率約31.1%。當前國產裝置主要在後端製程為主,且部分用於處理控片、擋片,在正片、前端製程應用相對有限,未來仍存在較大發展空間。

6、去膠:國產化率74%,屹唐股份、盛美上海國產入圍。

長江儲存:屹唐股份中標數量位列第一。屹唐股份共計中標74臺,數量超過韓國PSK,中標產品涵蓋前、中、後段幹法去膠裝置。屹唐股份為中標範圍內唯一一家國產廠商。

華力整合:屹唐股份中標數量位列第一。屹唐股份共計中標10臺,中標產品為等離子去膠裝置,涵蓋前、中、後段去膠工藝。

華虹無錫:迪恩士獲採購較多,國產廠商包括屹唐股份、盛美上海。其中,屹唐股份中標13臺裝置,均為等離子去膠裝置;盛美上海中標4臺,均為前段光刻膠剝離裝置。

總結:去膠裝置方面,屹唐股份、盛美上海等公司入圍,兩家裝置型別有所區別。其中,屹唐股份主要產品為各類等離子體幹法去膠裝置,其收購的Mattson在去膠領域具有長期技術積累,國產化率相對較高,盛美上海產品為溼法去膠裝置。從三座晶圓廠累計招標情況統計,國產裝置中標總數101臺,晶圓廠招標裝置總數137臺,由此計算國產化率約73.7%。

7、化學機械拋光:國產化率21%,華海清科為國內細分龍頭。

長江儲存:應用材料、華海清科中標最多。其中華海清科共計中標34臺,僅次於應用材料,中標產品主要為層間介質層化學機械拋光機、氧化矽化學機械拋光機、晶圓矽面化學機械拋光機等。

華力整合:應用材料、荏原製作所領先,國內華海清科中標。華海清科共計中標4臺,中標產品涵蓋矽研磨裝置、銅化學機械研磨裝置、氧化矽化學機械研磨裝置和矽片背面氧化膜化學機械研磨裝置。

華虹無錫:應用材料、華海清科獲採購較多。華海清科共計中標10臺裝置,化學機械拋光工藝涵蓋銅、矽片再生、淺溝槽絕緣氧化膜&多晶矽膜、鎢等工藝環節,應用領域較為多樣;吉姆西半導體科技中標5臺,為氧化膜化學機械拋光裝置。

總結:化學機械拋光裝置方面,華海清科為國內細分龍頭,化學機械拋光裝置涵蓋銅、矽片再生、淺溝槽絕緣氧化膜&多晶矽膜、鎢等多類材料。從三座晶圓廠累計招標情況統計,國產裝置中標總數48臺,晶圓廠招標裝置總數230臺,由此計算國產化率約20.9%。與海外廠商相比,在工藝覆蓋率方面,國內廠商有進一步提升空間。

8、離子注入:國產化率1.4%,爍科中科信國產獲採購。

總結:離子注入裝置方面,爍科中科信在華虹無錫、華力整合均獲得中標,中標裝置均為中束流離子注入裝置。從三座晶圓廠累計招標情況統計,國產裝置中標總數2臺,晶圓廠招標裝置總數139臺,由此計算國產化率約1.4%,該領域尚存在較大國內外差距,替代空間廣闊。

9、塗膠顯影:國產化率1.1%,芯源微實現國產零突破。

總結:塗膠顯影裝置方面,東京電子獲採購較多,國產裝置公司中僅芯源微入圍。芯源微在華力整合中標裝置為勻膠機,國產化尚存在較大發展空間。除上述晶圓廠外,芯源微還在中芯紹興、上海積塔、青島芯恩等晶圓廠獲得批次招標採購,產品包括聚合物塗膠顯影機、背面塗膠顯影機、KrF勻膠顯影機、I-line勻膠顯影機等,公司在國內塗膠顯影裝置領域具有一定稀缺性。從前述三座晶圓廠累計招標情況統計,國產裝置中標總數1臺,晶圓廠招標裝置總數91臺,由此計算國產化率約1.1%。

10、光刻:國產化率1.2%,阿斯麥絕對壟斷,上海微實現國產零突破。

光刻機方面,各晶圓廠均主要採購阿斯麥產品,少量採購日系廠商佳能、尼康。國產廠商中,上海微電子裝備於2021年初於長江儲存中標一臺光刻機。當前在光刻機領域,後續廠與龍頭廠商阿斯麥之間差距仍較為明顯。從三座晶圓廠累計招標情況來看,國產裝置中標總數1臺,晶圓廠招標裝置總數86臺,國產化率約1.2%,國產化率尚低。

分類: 家居
時間: 2021-12-07

相關文章

東北花友走遍歐洲著名花園,回國後建了座四季有花的北方花園

東北花友走遍歐洲著名花園,回國後建了座四季有花的北方花園
你是否想走遍世界,看遍風景,再回老家,種一院花? 東北花友"自然",畢業於同濟大學機械專業.曾旅居德國13年,走遍歐洲乃至世界的很多城市,看了很多著名的花園.最終回到大連,打造了一 ...

夏天新寵尤加利,養護花樣多,土培水培兩不誤

夏天新寵尤加利,養護花樣多,土培水培兩不誤
尤加利原來在花束中作為配花種類比較常見,在插花裡插入一枝尤加利葉也能起到百搭的點綴作用,慢慢地開始被越來越多的花友發掘,栽種.作為最近幾年夏天的新寵,尤加利葉的人氣居高不下,是低調簡約的代表綠植之一. ...

最愛水的銅錢草,水培和土培哪個更好養?

最愛水的銅錢草,水培和土培哪個更好養?
夏天一到,植物澆水又成了個大問題,多澆會澇,少澆會幹.但說到喜水的植物,大部分人可能第一反應就是銅錢草,花花每次在論壇裡看到花友的銅錢草出了問題,發出求救時,都會有花友積極的讓"多澆水&qu ...

水仙和洋水仙,花名一字之差,為何花友們更傾向於養洋水仙
秋季栽植球根花卉正當時,花友們也紛紛收拾出夏季空出的花盆,準備安排上一波秋季必養的花草,其中水仙作為我國的傳統名花以及年宵花,一直備受花友們的喜愛.而細心的花友們可能發現了,近兩年潮流的風向標似乎悄然 ...

資深花友,還有什麼是你沒種過的?少見家養植物大曝光

資深花友,還有什麼是你沒種過的?少見家養植物大曝光
相信許多有心又有經驗的資深花友把熱門的家養植物都養了個遍,這幾種少見的家養植物你又養過嗎? 一.粉掌 紅掌和白掌在家裡已經很常見了,可是粉掌你種植過嗎? 粉掌的外形和紅掌很相似,只是葉片的顏色是粉色的 ...

“臥室"養花別隨便,要養就養“健康花”,建議養這3種

“臥室"養花別隨便,要養就養“健康花”,建議養這3種
隨著人們生活水平的提高,很多人都喜歡在家裡養一些花,用來美化居室,點綴生活,屬於軟裝的一部分,居家家養花,特別是樓房養花,養花不能太隨便,特別是臥室養花,要養最好養一些小巧溫馨的花卉,不要養過於高大, ...

花友養多肉,都會遇到兩大“難”,簡單三步走,葉肥又鮮豔

花友養多肉,都會遇到兩大“難”,簡單三步走,葉肥又鮮豔
#煙火鄉村話豐年#花友們都知道多肉好看,尤其肥嘟嘟的葉片可愛又精緻,但如此漂亮的多肉卻不容易養護,大多數人都會遇到兩大"難",不是黑腐就是化水,大大弱化了整棵多肉的長勢,令花友們十 ...

九大“健康花”,建議大家養一盆,乾淨漂亮又吉祥

九大“健康花”,建議大家養一盆,乾淨漂亮又吉祥
現在很多人都喜歡在家裡養一些花草綠植,用來美化居室,點綴生活,不過現在大部分人都是是住樓房,要養最好養一些利於健康的花,能讓室內空氣更清新不,今天咱們就分享幾種健康花,建議大家養一盆,乾淨漂亮又吉祥. ...

人養花,花亦養人!這11種能養人的“健康花”,建議至少養一種

人養花,花亦養人!這11種能養人的“健康花”,建議至少養一種
生活中,很多人都喜歡在家裡養上一些花,用來美化居室,豐富生活.俗話說得好:"人養花,花亦養著人",若是室內養花,建議大家除了養一些漂亮的觀賞花卉,建議養一些,能養人的健康花,今天咱 ...

小戶型家庭只有一間兒童房,上下鋪換成移動衣櫃,一兒一女隔開睡

小戶型家庭只有一間兒童房,上下鋪換成移動衣櫃,一兒一女隔開睡
家裡有一兒一女,唯一比較苦惱的,就是家裡只有一間兒童房,很多業主就在糾結究竟該怎麼設計,才能讓2個孩子從小住到大,擁有獨立空間又不相互打擾呢? 其實加個可移動衣櫃就能解決!根據孩子不同的年齡階段,及時 ...

若可以牆面別再貼牆布,換成牆板更上檔次,可擦拭還能有很好隔音

若可以牆面別再貼牆布,換成牆板更上檔次,可擦拭還能有很好隔音
材料多樣化的現在,裝修時更需要我們更好甄選,多一份瞭解,就多一分更合適-- 牆面貼壁布,比乳膠漆更有檔次.質感不假,但牆布鋪貼過程中是存在有"變數"的,一旦使用的膠水選擇不好,是很 ...

許多農戶把原來的小青瓦換成現在的樹脂瓦,樹脂瓦比小青瓦好嗎?

許多農戶把原來的小青瓦換成現在的樹脂瓦,樹脂瓦比小青瓦好嗎?
原來農村建房,需要到專門的磚瓦廠去拉小青瓦.小青瓦經過幾千年的風風雨雨,在中國的建築史上有著舉足輕重的作用,承載了幾千年的文化與記憶. 往些年小青瓦基本上都是手工製作,由黃土燒製而成,經久耐用,美觀大 ...

花友們都喜歡的“2種花”,比綠蘿好養,開花又多又漂亮

花友們都喜歡的“2種花”,比綠蘿好養,開花又多又漂亮
花友們都喜歡的"2種花",比綠蘿好養,開花又多又漂亮 秋天又到了養花的好季節,最近就經常有人問我,什麼花比較好養,而且是開花漂亮的那種.可能很多花友之前養過綠蘿.吊蘭這類的觀葉植物 ...

燉魚時,別用料酒白酒去腥了,換成這2樣,魚肉沒腥味,還更鮮嫩

燉魚時,別用料酒白酒去腥了,換成這2樣,魚肉沒腥味,還更鮮嫩
燉魚時,別用料酒白酒去腥了,換成這2樣,魚肉沒腥味,還更鮮嫩 大家好,感謝閱讀我分享的文章,這次我要和大家說的是:『燉魚時,別用料酒白酒去腥了,換成這2樣,魚肉更鮮嫩,還沒腥味!』 花鰱肉質肥厚,味道 ...

愛上養花之後,會被5種福氣包圍,花友:太幸福了

愛上養花之後,會被5種福氣包圍,花友:太幸福了
痴迷養花的人,每天都會過得很快樂.養花帶給養花人的幸福感非常足,透過養花,能夠很快地改變自己的心境,而且還能夠起到鍛鍊身體的效果.聽說將養花當成一種生活方式的人,都會被5種福氣包圍,花友們來看看自己符 ...

擅自把氨氯地平換成硝苯地平,結果吃出腦出血!教你怎麼選降壓藥

擅自把氨氯地平換成硝苯地平,結果吃出腦出血!教你怎麼選降壓藥
昨天下午,醫院急症接了一位情況非常緊急的患者.患者是一位72歲的老人,我們都叫他劉大爺. 根據劉大爺的老伴兒陳阿姨的描述,得知這位劉大爺的情況,是這樣的: 擅自把氨氯地平換成硝苯地平,結果吃出腦出血! ...

定製衣帽間上萬塊,不如省下這個錢換成五金+隔板,收納更加靈活

定製衣帽間上萬塊,不如省下這個錢換成五金+隔板,收納更加靈活
花上萬塊定製衣帽間,打滿了櫃子,其實不一定要打那麼多櫃體,現在也很流行簡易設計,五金件+隔板,收納效果絲毫不輸.至於簡易衣帽間如何設計,他家就有幾個收納技巧分享: 1.隔板下裝掛杆,形成雙層收納 隔板 ...

進口他汀太貴,能換成便宜的嗎?醫生告訴你高價和低價他汀的區別

進口他汀太貴,能換成便宜的嗎?醫生告訴你高價和低價他汀的區別
多項研究資料表明,他汀藥物在降血脂的同時還能保護心腦血管,他汀類藥物對於有降脂需求的患者來說,依舊是首選藥物,尤其是對於心腦血管高危人群,服用他汀藥物的降脂效果也要優於其他降脂類藥物. 那麼高價他汀和 ...

秋涼該怎麼處理土培的綠蘿?幹好3件事,一盆變多盆,鬱鬱蔥蔥

秋涼該怎麼處理土培的綠蘿?幹好3件事,一盆變多盆,鬱鬱蔥蔥
如今已到秋分,天氣真的轉涼了,甚至早晚出門還需穿上厚重點的長袖外套,否則極有可能凍著.當然,在此時養殖花草植物的人,往往也十分的忙碌,需要抽出時間來照顧植株,為其創造出更適宜的生存環境,刺激其為家中增 ...

把華為p40pro換成iphonese2是怎麼樣的體驗?

把華為p40pro換成iphonese2是怎麼樣的體驗?
華為p40pro給我的感覺就是曲面屏,驚豔,拍照好.但是不得不說玩遊戲時的麒麟海思990 5G處理器效果還是沒有曉龍的好,幀率不穩定.所以今年年初決定將華為p40pro換成iphone se 2. 華 ...